embeded/FPGA - ALTERA2018. 6. 19. 15:55

조금 만져본바로는

define clock이

apply wave를 통해 생성하는 것 보다 가벼운 느낌이다.


얘도 timescale에 따라가지는지는 확인해봐야 겠지만

`timescale 1ns/1ns 

설정하고 Period를 20으로 잡아주니 50MHz의 클럭이 생성된다(클럭 주기 20ns)

[링크 : http://www.pldworld.com/_hdl/2/_ref/se_html/manual_html/c_gui82.html]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

de0-nano LVDS  (0) 2018.06.26
dual purpose pins  (0) 2018.06.20
modelsim과 timescale 그리고 50Mhz  (0) 2018.06.18
modelsim nativelink  (0) 2018.06.18
modelsim 클럭연결하기  (0) 2018.06.15
Posted by 구차니