embeded/FPGA - ALTERA2021. 3. 9. 20:25

cyclone iv 에 모든 버전 사용가능.

de0 nano 간만에 살랴봐야하나

 

[링크 : http://github.com/maikmerten/riscv-tomthumb]

[링크 : http://github.com/open-design/riscv-soc-cores]

[링크 : http://github.com/SpinalHDL/VexRiscv]

 

 

'embeded > FPGA - ALTERA' 카테고리의 다른 글

oneAPI Quartus pro 필요?  (0) 2021.04.06
cyclone V openCL?  (0) 2021.03.26
quartus II 9.0이 아니야? 4.2 설치!  (0) 2020.06.23
큰 맘 먹고 질렀으나..  (0) 2020.06.22
APEX FPGA quartus 지원 버전  (0) 2020.06.22
Posted by 구차니
embeded/FPGA - ALTERA2020. 6. 23. 09:11

읭.. 생성은 4.0 대에서 했던 듯?

 

 

예 누르고 넘어가는데

헐.. 어느 버전을 써야 하나?

 

 

+

검색하다 보니 excalibur는 4.2 버전까지라고.. ㄷㄷ

HBE-SoC-Entry에서 사용되는 EXCALIBUR의 디바이스의 경우 Quartus II 4.2까지만 지원을 하고 있습니다.

따라서, 4.0 또는 4.2 버전으로 사용해야 합니다.

이 버전의 경우 Windows 7까지 사용하여 보았으며,

USB Blaster의 드라이버는 최신 버전의 Quartus II의 드라이버를 추출하여 사용하시면 됩니다.

[링크 : http://www.hanback.kr/insiter.php?design_file=3012.php&article_num=11627&OTSKIN=layout_ptr.php]

 

+

4.2 설치하고 나니 되긴 한다. 라이센스를 따로 받아야 하는 귀찮음이 발생하네..

 

SOPC builder 실행하니 JVM 에러가 나네?

'embeded > FPGA - ALTERA' 카테고리의 다른 글

cyclone V openCL?  (0) 2021.03.26
risc v on fpga  (0) 2021.03.09
큰 맘 먹고 질렀으나..  (0) 2020.06.22
APEX FPGA quartus 지원 버전  (0) 2020.06.22
지름신 쫒아내기  (0) 2020.06.19
Posted by 구차니
embeded/FPGA - ALTERA2020. 6. 22. 21:42

이거 웬지 자료가 없어서 쓰레기가 될 느낌이 농후해지는 중

 

HBE-Combo-D1은 JTAG 핀없이 패러럴로만 있어서 요즘 LPT가 없는 시스템에서 쓰기 너무 힘들 것 같아서 패스하고

SoCMaster-XP100 와 Hybus X-Hyper320TKU 중에 고민을 했는데

 

Hybus X-Hyper320TKY의 IEB 보드는 비표준 사이즈의 JTAG을 쓰고 있어서 일단 패스

(Byte Blaster라고 패러럴 포트로 되어있어서 먼가 작업을 하면 가능은 하겠지만.. 귀찮...)

그리고 다른분이 10개 사가셨다는데 거기에는 CD 있었다는거 같은데

내가 보러 가서는 CD가 없어서 구글링 해도 자료가 없는지라 포기.

 

SoCMaster는 표준 2.54mm pitch 10핀 JTAG을 쓰고 있어서 추가 작업없이 

저렴한 USB Blaster를 통해서 구울수 있어 낼름 겟!

CD는 있는데 집에와서 보니 별다른 자료는 없고 소스코드와 데이터 시트뿐이라

어떤 교육자료를 조금 기대했던 나로서는 3만원만 날리는 게 되는거 아닌가 라는 불안감이 엄습중..

 

+

흐음.. 고민이네..

 

[링크 : https://www.aladin.co.kr/shop/wproduct.aspx?ItemId=223418718] 책 16000 + 2500

[링크 : http://itempage3.auction.co.kr/DetailView.aspx?itemno=B439033929] USB blaster 6460 +2500

'embeded > FPGA - ALTERA' 카테고리의 다른 글

risc v on fpga  (0) 2021.03.09
quartus II 9.0이 아니야? 4.2 설치!  (0) 2020.06.23
APEX FPGA quartus 지원 버전  (0) 2020.06.22
지름신 쫒아내기  (0) 2020.06.19
timequest time analyzer?  (0) 2018.06.28
Posted by 구차니
embeded/FPGA - ALTERA2020. 6. 22. 13:07

 

[링크 : https://fpgasoftware.intel.com/devices/]

 

Design software support for FLEX, APEX, ACEX, and HardCopy Stratix device families is not provided in versions of the Quartus II software beginning with version 9.1. Use the Quartus II software version 9.0 or earlier to support those devices.

[링크 : https://www.intel.com/.../rd07262013_295.html]

 

 

altera excalibur 시리즈는 APEX 20KE 시리즈를 내장한다고 하니.. qartus 9.0을 써야 할 듯

그런데 최신 버전은 20.1 이라는게 함정 엄청(!) 구석기 시대 꺼구만?

Part of the Altera® Excalibur™ embedded processor solutions:
system-on-a-programmable-chip (SOPC) architecture (see Figure 1 on page 4) builds upon features of the APEX™ 20KE family of PLDs, with up to 1,000,000 gates (see Table 1 on page 2)

[링크 : https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/ug/exc_arm_hwdref_mnl.pdf]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

quartus II 9.0이 아니야? 4.2 설치!  (0) 2020.06.23
큰 맘 먹고 질렀으나..  (0) 2020.06.22
지름신 쫒아내기  (0) 2020.06.19
timequest time analyzer?  (0) 2018.06.28
altera quartus2 simulation 도움말  (0) 2018.06.28
Posted by 구차니
embeded/FPGA - ALTERA2020. 6. 19. 21:46

결론만 적자면.. DE0-Nano 가지고 있는데 LE는 훨신 많은 녀석

다만 얘는 LED랑 DIP 스위치 정도 밖에 없어서 교육용 보드가 좋긴한데

얼마나 자료가 있는지 미지수라.. 고민중

 

돈도 돈이고, 이사를 위해서 짐 줄이기 중이라 보류

 

DE0-Nano

Cyclone® IV EP4CE22F17C6N FPGA
22,320 Logic elements (LEs)

 

[링크 : https://www.terasic.com.tw/cgi-bin/page/archive.pl?No=593]

 

SoCMaster-XP100

altera excalibur (Zynq 같은 ARM9 + FPGA 구성)

[링크 : https://slidesplayer.org/slide/14579881/]

[링크 : https://docsplayer.org/20179759-실험1.html] 10만 게이트

 

EPXA1 시리즈일 가능성이 높아보임. 게이트야 10만인데 LEs로는 4160..

 

[링크 : https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/ug/exc_arm_hwdref_mnl.pdf]

 

표준 JTAG을 채용한것으로 보여 USB Blaster 같은 것으로 현재 사용이 가능할 것으로 보임

[링크 : http://itempage3.auction.co.kr/DetailView.aspx?itemno=B439033929]

 

 

Hybus X-HYPER320TKU

PXA320

[링크 : https://hyuniday.tistory.com/2]

 

FPGA 독립 실험이라...

 

Cyclone EP1C6240PQFP

잘보니 아래 Dot Matrix 라고 써잇는데가 Byte Blaster라고 실크가 써있네?

[링크 : https://docsplayer.org/20543999-X-hyper320tku_응용교재_v1-1-hwp.html]

 

EP1C6은 5980 LEs로 일단은 가장 높은 스펙.

그리고 ARM과는 독립적으로 IEB 보드만 공부할 수 있다는데 교재에 따로 내용이 있으려나?

[링크 : https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/ds/ds_cyc.pdf]

 

 

한백전자 HBE-Combo D1

Altera ACEX EP1K100QC208-3

[링크 : https://www.happycampus.com/report-doc/4450628/]

 

LPT 포트 만을 제공하고, 어디서 본걸로는.. FPGA 보드만 바꾸어서 ALTERA/xilinx 쓸 수 있었던 거 같은데..

SocMaster나 별반 차이는 없는 LEs

[링크 : https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/ds/archives/acex.pdf]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

큰 맘 먹고 질렀으나..  (0) 2020.06.22
APEX FPGA quartus 지원 버전  (0) 2020.06.22
timequest time analyzer?  (0) 2018.06.28
altera quartus2 simulation 도움말  (0) 2018.06.28
modelsim 시뮬레이션과 initial  (0) 2018.06.27
Posted by 구차니
embeded/FPGA - ALTERA2018. 6. 28. 16:24

책에서 본거 처럼(구버전 기준이긴 했지만)

최대 작동 클럭이 rpm 미터 처럼 똭 뜨지 않아서 찾아 보는중


timequest는 구버전에서의 time analzyer의 상품명(?) 이런건가?

The TimeQuest timing analyzer is a powerful ASIC-style timing analysis tool that validates the timing performance of all logic in a design using industry standard constraint, analysis, and reporting methodology 


Synopsis Design Constraints (SDC)

tool command language (Tcl)

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/manual/mnl_sdctmq.pdf]


먼가 찾아서 막 누르다 보니 이런게 나오네 -ㅁ-?

[링크 : ftp://ftp.altera.com/up/pub/Intel_Material/15.1/Tutorials/VHDL/Timequest.pdf]

[링크 : https://www.altera.com/en_US/pdfs/literature/ug/ug_tq_tutorial.pdf]


암튼 현재로서는 Timing Analyzer로만 표기가 된다.


컴파일 하다 보면 아래와 같은 Timing Analyzer 항목이 보이는데


타이밍 모델은 온도에 따른 작동 속도가 있고

speed grade별로 시뮬레이션을 하는데 영향을 주는 요소인 것으로 보인다.

speed grade / supply voltage / junction temperature

 Intel FPGAs must operate in a continuum of conditions. 

 These conditions include the die junction temperature, which varies depending upon the design's requirements. Commercial parts have a legal range of 0°C to 85°C and industrial parts have a legal range of -40°C to 100°C. There are even wider temperature ranges, such as those for automotive and military devices. 

 Another aspect of the operating conditions is the voltage supply levels. The most critical voltages for maintaining FPGA performance is the Vcc and the various I/O supplies. Each of the supply voltages has a legal operating range. For example, a subset of Stratix® IV FPGAs has a valid Vcc range of 0.87 V to 0.93 V. 

 The third aspect of the operating conditions is the relative speed of each FPGA versus the limit of the speed grade with which it is marked. This is one aspect that the designer has no control over. It should also be noted that devices within one speed grade can still differ slightly in performance, predominantly due to variation in the manufacturing process. All devices, however, are guaranteed to be faster than the limit of the speed grade.

[링크 : https://www.altera.com/en_US/pdfs/literature/wp/wp-01139-timing-model.pdf]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

APEX FPGA quartus 지원 버전  (0) 2020.06.22
지름신 쫒아내기  (0) 2020.06.19
altera quartus2 simulation 도움말  (0) 2018.06.28
modelsim 시뮬레이션과 initial  (0) 2018.06.27
modelsim 길이 측정하기  (0) 2018.06.27
Posted by 구차니
embeded/FPGA - ALTERA2018. 6. 28. 14:21

C:\intelFPGA_lite\18.0\quartus\common\help

경로에 pdf로 존재한다.


tutorial_quartusii_simulation_verilog.pdf

내용을 정리하자면


Step 1. Quartus2에서 New 하고 나서 Verification/Debugging Files에 

University Program VWF를 선택하여 추가


Step 2. 아래와 같은 시뮬레이션 웨이브폼 에디터가 똭!


Step 3. 우측 패널에 우클릭하여 노드나 버스를 추가하는데


Step 4. 수동으로 추가는 불가능하고 "Node Finder"를 통해서 찾아서 추가해야 한다.


Step 5. 처음에는 아무것도 안나오는데 List를 누르면 전체 핀 목록이 뜬다.


Step 6. 모니터링 할 노드들을 추가해주고 나면 아래와 같이 뜨는데

일단 클럭이 있어야 작동하는 녀석들이 많으니 위에 시계모양 아이콘을 누르면(Overwrite Clock)


Step 7. 클럭을 쓸수 있는데 망할(?) Hz 단위가 아니라 시간 단위니 적절히 계산해서 넣어준다

(50MHz는 Period 20ns)


Step 8. 그럼 아래와 같이 클럭이 표현된다.


Step 9. 시뮬레이션을 하려면 Quartus 2 옵션 설정에서 EDA 툴을 설정해주어야 하는데

설치시에 ModelSim Altera Starter 버전을 설치했다면 아래 경로에서 찾으면 된다.


Step 10. 그래프(?)의 그리드 크기는 Edit - Grid Size에서 설정하는데

그리드는 보여주기 위한 시간 단위이다.


1us 정도가 한계인데 단위를 바꾸어서 해봐도 이걸 늘리는 법은 모르겠다.


Step 11. 시뮬레이션 시간은 End Time으로 설정되는데

최대 100us 까지 밖에 설정을 할 수 없도록 되어 있는 것으로 보인다.


Step 12. 아무튼 설정할거 했으면 Simulation 에서 Run 으로 시작하는건 누르면 되는데


상단 아이콘 바에도 있으니 편한대로 하면 된다.


Step 13. 시뮬레이션을 누르면 아래와 같이 vwf 파일 저장안되었다고 경고 뜨는데

괜히 다른 이름으로 바꾸면 실행안되니 그냥 기본값으로 저장을 해주는게 속 편하다


Step 14. 아무튼 저정하고 나면 아래와 같이 테스트벤치를 생성해서 알아서 돌리는데



Step 15. 한참을 먼가 하고 나면 아래와 같이 sim.vwf 확장자로 다른 창이 뜬다.

(근데 멀 잘못했나.. 영 안뜨네?)


아무튼.. 왜 안되는지는 좀 더 고민해봐야 겠네... ㅠㅠ

'embeded > FPGA - ALTERA' 카테고리의 다른 글

지름신 쫒아내기  (0) 2020.06.19
timequest time analyzer?  (0) 2018.06.28
modelsim 시뮬레이션과 initial  (0) 2018.06.27
modelsim 길이 측정하기  (0) 2018.06.27
de0-nano LVDS  (0) 2018.06.26
Posted by 구차니
embeded/FPGA - ALTERA2018. 6. 27. 13:47

당연히(?) initial은 있어야 하는데

모듈내에서 사용하는 reg 변수들에 대해서도 처리가 되어 있지 않으면

값이 X가 나오면서 garbage 값이라 처리를 못하는 것으로 보인다.


예전에 구한 소스인데, 시뮬레이션 안되던거 혹시나 해서

모듈내에 사용되는 reg 변수를 0으로 초기화 해주니 시뮬레이션이 잘 진행된다.

module vga640x480(

input clk,

input rst,

output [7:0] LED,

output reg hsync,

output reg vsync,

output [3:0] r,

output [3:0] g,

output [3:0] b

);


reg clk25;

reg [9:0] horizontal_counter;

reg [9:0] vertical_counter;


reg [9:0] X;

reg [9:0] Y;


wire [7:0] red;

wire [7:0] green;

wire [7:0] blue;


initial

begin

horizontal_counter = 0;

vertical_counter = 0;

end


assign r[3:0] = ((horizontal_counter >= 144) 

&& (horizontal_counter < 784) 

&& (vertical_counter >=39)

&& (vertical_counter < 519)) ? red : 4'b000; 

assign g[3:0] = ((horizontal_counter >= 144) 

&& (horizontal_counter < 784) 

&& (vertical_counter >=39)

&& (vertical_counter < 519)) ? green : 4'b000; 

assign b[3:0] = ((horizontal_counter >= 144) 

&& (horizontal_counter < 784) 

&& (vertical_counter >=39)

&& (vertical_counter < 519)) ? blue : 4'b000; 


assign red =   ((horizontal_counter >= 144)&&(horizontal_counter < 344) ) ? 4'b1111 : 4'b0000;

assign green = ((horizontal_counter >= 344)&&(horizontal_counter < 544) ) ? 4'b1111 : 4'b0000;

assign blue =  ((horizontal_counter >= 544)&&(horizontal_counter < 784) ) ? 4'b1111 : 4'b0000;


always @(posedge clk)

begin


if (clk25 == 0)

begin

   clk25 <= 1;

end   

else

begin

clk25 <= 0;

   end

end



always @(posedge clk25)

begin

if ((horizontal_counter > 0) && (horizontal_counter < 97))// -- 96+1

begin

hsync <= 0;

end

else

begin

hsync <= 1;

end 

if ((vertical_counter > 0 ) && (vertical_counter < 3 )) //-- 2+1

begin

vsync <= 0;

end

else

begin

vsync <= 1;

end

horizontal_counter <= horizontal_counter+1;

    

if (horizontal_counter == 800) 

begin

vertical_counter <= vertical_counter+1;

horizontal_counter <= 0;

end

    

if (vertical_counter == 521)

begin

vertical_counter <= 0;

end

end



endmodule  


'embeded > FPGA - ALTERA' 카테고리의 다른 글

timequest time analyzer?  (0) 2018.06.28
altera quartus2 simulation 도움말  (0) 2018.06.28
modelsim 길이 측정하기  (0) 2018.06.27
de0-nano LVDS  (0) 2018.06.26
dual purpose pins  (0) 2018.06.20
Posted by 구차니
embeded/FPGA - ALTERA2018. 6. 27. 11:01

modelsim에서 파형을 어떻게 계측하나 해서 찾아 보니..

3.4.4 Measuring time

In wave viewer, select from top menus Add -> Cursor. Now you'll see 2 vertical lines (cursors). You can easily drag them and see the time interval between on the bottom. This is very handy when have to measure the execution time (or signal frequency). Just divide the time with the duration of a clock period to derive the number of clock cycles. 

[링크 : http://www.tkt.cs.tut.fi/tools/public/tutorials/mentor/modelsim/getting_started/gsms.html]


음.. 메뉴를 보니 A가 단축키네..


너무 멀지 않은 edge에 커서를 클릭하면 대충 달라 붙는데 A 누르면 저렇게 하나씩 생겨나고

아래에 cursor 가 생겨나는데 적절히 이용하면 될 듯?


'embeded > FPGA - ALTERA' 카테고리의 다른 글

altera quartus2 simulation 도움말  (0) 2018.06.28
modelsim 시뮬레이션과 initial  (0) 2018.06.27
de0-nano LVDS  (0) 2018.06.26
dual purpose pins  (0) 2018.06.20
modelsim define clock  (0) 2018.06.19
Posted by 구차니
embeded/FPGA - ALTERA2018. 6. 26. 09:50

DE0-nano의 메인칩은 EP4CE22F17C6N 인데

아래분류를 따르면 FBGA 256pin이다.

[링크 : https://www.altera.com/en_US/pdfs/literature/hb/cyclone-iv/cyiv-51006.pdf]


그리고 디퍼런셜 채널은 20개

[링크 : https://www.altera.com/en_US/pdfs/literature/hb/cyclone-iv/cyiv-51001.pdf]


MCU들 처럼 핀의 alternative function 설정해주면 끝나는게 아니라..

ALTERA Megafunction을 통해 구현을 해주어야 하는건가?

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/an/an479.pdf]

[링크 : http://blog.daum.net/trts1004/12109410]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

modelsim 시뮬레이션과 initial  (0) 2018.06.27
modelsim 길이 측정하기  (0) 2018.06.27
dual purpose pins  (0) 2018.06.20
modelsim define clock  (0) 2018.06.19
modelsim과 timescale 그리고 50Mhz  (0) 2018.06.18
Posted by 구차니