embeded/FPGA - XILINX2023. 5. 12. 09:47

BRAM(Block RAM)

URAM(Ultra RAM) - ultraScale+ 이상 제품군용

[링크 : https://wikidocs.net/86996]

 

block memory generator 라는 IP를 이용해서 BRAM을 할당하도록 하여 사용


(즉, HDL 레벨에서 특정 BRAM을 쓰도록 할 순 없는 것으로 예상)

[링크 : https://m.blog.naver.com/semisgdh/221950980467]

'embeded > FPGA - XILINX' 카테고리의 다른 글

xilinx uartlite on zynq  (0) 2023.05.16
xilinx vivado uart ip  (0) 2023.05.12
xilinx - partial bitstream  (0) 2023.04.24
vivado 설치 하려고 했더니.  (0) 2023.01.08
흐음.. 끌리네?  (0) 2022.11.02
Posted by 구차니