embeded/FPGA - XILINX2018. 1. 23. 16:49

artix는 지원하나 모르겠네..



Virtex-6 and 7-Series devices support the use of both HMAC and AES keys. 

Spartan devices only have the AES key option. 

[링크 : https://www.xilinx.com/support/answers/52881.html]

[링크 : https://www.xilinx.com/support/documentation/application_notes/xapp1239-fpga-bitstream-encryption.pdf]


7 시리즈는 모두 지원을 하는 것으로 근래 변경된 듯?

The original plan was the smaller Artix would not have the AES/HMAC and XADC blocks.

This was changed pretty recently - now all 7 series devices will be uniform in the support of these features. 

[링크 : https://forums.xilinx.com/t5/7-Series-FPGAs/AES-encryption-in-Artix-7/td-p/156150]


표에 의하면 Spartan-7중 XC7S6 XC7S15는 제외 나머지 전 모델은 AES/HMAC을 지원하는 것으로 보인다.

[링크 : https://www.xilinx.com/support/documentation/selection-guides/7-series-product-selection-guide.pdf]


Encryption, Readback, and Partial Reconfiguration

In all 7 series FPGAs (except XC7S6 and XC7S15), the FPGA bitstream, which contains sensitive customer IP, can be protected with 256-bit AES encryption and HMAC/SHA-256 authentication to prevent unauthorized copying of the design. The FPGA performs decryption on the fly during configuration using an internally stored 256-bit key. This key can reside in battery-backed RAM or in nonvolatile eFUSE bits. Most configuration data can be read back without affecting the system's operation. Typically, configuration is an all-or-nothing operation, but Xilinx 7 series FPGAs support partial reconfiguration. This is an extremely powerful and flexible feature that allows the user to change portions of the FPGA while other portions remain static. Users can time-slice these portions to fit more IP into smaller devices, saving cost and power. Where applicable in certain designs, partial reconfiguration can greatly improve the versatility of the FPGA. 

[링크 : https://www.xilinx.com/support/documentation/data_sheets/ds180_7Series_Overview.pdf]

Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 23. 16:45

DE0-Nano-SoC는 Cyclone V라 HPS 떄문에 조금 다른거 같은데

아무튼 기본적으로는 AS 모드로 굽게 되어 있는 것 같다.


[링크 : https://www.terasic.com.tw/attachment/archive/941/DE0-Nano-SoC_User_manual.pdf]


USB Blaster의 설명서

In-Socket Programming은 미지원이고

PS 모드는 MAX 시리즈를 달아서 얘가 플래시를 제어하는 것 같고

AS 모드는 EPCS 쪽에는 되는 것 같네

[링크 : https://www.terasic.com.tw/cgi-bin/page/archive_download.pl?...FID=2f5906c1ffce76384d6564a1c75b959c]

2018/01/03 - [embeded/FPGA] - altera AS(Active Serial) / PS(Passive Serial) ?


+

간단하게 요약하면.. PS는 FPGA가 플래시에 클럭을 제공하지 않고 외부에 의해서 제공되고

AS는 FPGA가 플래시에 클럭을 제공하여 스스로를 설정한다는 차이.

JTAG이나 PS/FPP 등은 당연히(!) 외부 클럭에 의해서 플래시에 클럭을 제공할 것으로 보인다.



FPP 약어는 Fast Passive Parallel... AS/PS/AP/PP 구분으로 일단 보면 편할 듯

For Cyclone IV GX devices to meet the PCIe 100 ms wake-up time requirement, you must use passive serial (PS) configuration mode for the EP4CGX15/22/30 devices and use fast passive parallel (FPP) configuration mode for the EP4CGX30F484 and EP4CGX50/75/110/150 devices.

[링크 : https://www.altera.com/en_US/pdfs/literature/hb/cyclone-iv/cyiv-51001.pdf] 10p


AS Configuration (Serial Configuration Devices)

In the AS configuration scheme, Cyclone IV devices are configured with a serial configuration device. These configuration devices are low-cost devices with non-volatile memories that feature a simple four-pin interface and a small form factor. These features make serial configuration devices the ideal low-cost configuration solution.


PS Configuration

You can perform PS configuration on Cyclone IV devices with an external intelligent host, such as a MAX® II device, microprocessor with flash memory, or a download cable. In the PS scheme, an external host controls the configuration. Configuration data is clocked into the target Cyclone IV device through DATA[0] at each rising edge of DCLK.

Cyclone IV devices do not support enhanced configuration devices for PS configuration

[링크 : https://www.altera.com/en_US/pdfs/literature/hb/cyclone-iv/cyiv-51008.pdf]


configuration 관련 clock 등을 누가 만들어 주느냐에 따라 FPGA 기준으로해서 active & passive 로 나뉩니다

AS(Active Serial) 의 경우 FPGA 가 clock 및 address 를 내보내서 EPCS ROM 에 있는 이미지를 configuration 하게 됩니다

FPGA 가 active 하게 동작하는 경우입니다

PS(Passive Serial) 의 경우 FPGA 는 가만히 있고 외부 cpu 등이 flash 등에 저장된 이미지를 가지고 coniguration 하게됩니다

FPGA 가 passive 하게 동작하는 경우입니다

JTAG 은 sof 이미지 등을 direct 로 FPGA 에 프로그래밍 할때 사용합니다

다운로드 속도가 빠르기 때문에 보통 개발시에 많이 사용됩니다

[출처] AS . PS. FPP. jtag 동작원리와 차이점 (Altera Town) |작성자 kmky

[링크 : http://cafe.naver.com/alteratown/3523]

[링크 : http://cafe.naver.com/alteratown/5785]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

nios2 /e /f 별 설정  (0) 2018.01.25
my first Nios II with DE0-nano  (0) 2018.01.25
quartus programmer Mode별 파일 확장자 지원 차이  (0) 2018.01.23
ALTERA 비트스트림 암호화 관련 조사  (0) 2018.01.23
risc-v fpga  (0) 2018.01.20
Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 23. 16:32

프로그래머에서 모드별로 설정가능한 파일이 달라지네..


그나저나.. jtag에 ekp가 있다.. 

이 암호화된 녀석은 어떻게 생성하지 -ㅁ-?

JTAG


In-Socket Programming


Passive Serial

Active Serial Programming

AS 에서만 POF가 지원된다.



'embeded > FPGA - ALTERA' 카테고리의 다른 글

my first Nios II with DE0-nano  (0) 2018.01.25
Quartus 2 Programmer Mode 조사  (0) 2018.01.23
ALTERA 비트스트림 암호화 관련 조사  (0) 2018.01.23
risc-v fpga  (0) 2018.01.20
RNG using fpga race condition  (0) 2018.01.20
Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 23. 16:21

보안관련 내용을 뒤지다 보니.. xilinx 쪽도 좀더 찾아봐야겠다는 생각만 드네..

일단 모든 제품 군에서 보안기능이 제공되는 것은 아니고

Arria와 Stratix 제품군 그리고 Cyclone 3 LS 제품군에 대해서만 보안을 제공한다.

AES로 암호화 해서 비트스트림을 저장하는 방법인데.

내가 가진 DE0-nano의 cyclone IV E 는 지원하지 않는 것으로 보인다.


MAX 제품군이야 내부에 저장공간을 갖춘 녀석이니, Security Bit를 통해서 보안을 제공하는 것 같다.


Altera's FPGAs use the advanced encryption standard (AES) and a 128-bit or 256-bit key for configuration bitstream encryption.

[링크 : https://www.altera.com/products/general/devices/stratix-fpgas/about/security.html]


Cyclone III LS: Lowest power FPGA with security

[링크 : https://www.altera.com/products/fpga/cyclone-series/cyclone-iii/overview.html]


Stratix II Military Anti-Tampering Solution

Anti-Tampering with Configuration Bitstream Encryption

[링크 : https://www.altera.com/products/fpga/stratix-series/stratix-ii/stratix-ii/features/st2-security-anti-tamper.html]


Device Family: MAX II, MAX V, MAX 7000B, MAX 7000S

Which security bit option in the Quartus II software should be used to program the security bit in my Altera device?

[링크 : https://www.altera.com/support/support-resources/knowledge-base/solutions/rd07212010_295.html]



'embeded > FPGA - ALTERA' 카테고리의 다른 글

Quartus 2 Programmer Mode 조사  (0) 2018.01.23
quartus programmer Mode별 파일 확장자 지원 차이  (0) 2018.01.23
risc-v fpga  (0) 2018.01.20
RNG using fpga race condition  (0) 2018.01.20
여러개의 Nios 구동하기  (0) 2018.01.16
Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 20. 23:41

risc-v 라는 오픈소스 프로세서 프로젝트가 있는데

그걸 altera와 xilinx용으로 포팅(?)한 HDL 및 툴체인


[링크 : https://www.alteraforum.com/forum/showthread.php?t=55227]

   [링크 : https://github.com/cliffordwolf/picorv32]

   [링크 : https://github.com/VectorBlox/orca]

Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 20. 08:31

FPGA 에서 <=와 =의 설명을 듣다 보니..

어? 이거 어떻게 튈지 모르는 애니까 랜덤으로 쓰면 좋겠네? 하고

검색해보니 2012년 정도에 나온 논문들이...

큭.... 아쉽다 ㅠㅠ


MD5와 결합해서 랜덤값을 한번더 꼬아주고 그걸 시드로 사용하면 어떨까 생각은 드네


[링크 : https://www.researchgate.net/...Software_Random_Number_Generation_Based_on_Race_Conditions]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

ALTERA 비트스트림 암호화 관련 조사  (0) 2018.01.23
risc-v fpga  (0) 2018.01.20
여러개의 Nios 구동하기  (0) 2018.01.16
de0-nano-soc 와 de10-nano 비교  (0) 2018.01.16
아 몰라 fpga/bitcoin 포기  (0) 2018.01.12
Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 16. 12:34

DE1-SoC 이긴 한데 LE가 충분하다면야 몇개 한번 생성해서 따라봐야겠다.


[링크 : https://www.youtube.com/watch?v=O54sJjSjq60]


+

Hardware Design Specifications

Board support

Altera Cyclone® III 3C120 FPGA development board

Nios II/f processor cores, debug-enabled, with 4-KB instruction cache and 2-KB data cache: 6

System timers: 6

On-chip RAM: 64 KB

JTAG UART peripherals: 6

Mutex peripherals: 5

System ID peripheral: 1 


Cyclone 3에 Nios2/f를 6개 박아 넣네.. 공부하기 좋은 예제일듯


[링크 : https://www.altera.com/.../embedded/nios-ii/exm-multi-nios2-hardware.html]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

risc-v fpga  (0) 2018.01.20
RNG using fpga race condition  (0) 2018.01.20
de0-nano-soc 와 de10-nano 비교  (0) 2018.01.16
아 몰라 fpga/bitcoin 포기  (0) 2018.01.12
de0-nano + rpi2 채굴?  (0) 2018.01.10
Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 16. 11:55

Cyclone V로 보다 보니 두개가 끌리네

약간의 트레이드 오프가 있는 모델

성능과 HDMI를 교환해야 함


DE0-Nano-SoC Kit/Atlas-SoC Kit

99$ / 90$

[링크 : http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=941]


DE10-Nano Kit

130$ / 99$

[링크 : http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=1046]



DE0-Nano-Soc

925MHz


DE10-Nano

800MHz + HDMI


'embeded > FPGA - ALTERA' 카테고리의 다른 글

RNG using fpga race condition  (0) 2018.01.20
여러개의 Nios 구동하기  (0) 2018.01.16
아 몰라 fpga/bitcoin 포기  (0) 2018.01.12
de0-nano + rpi2 채굴?  (0) 2018.01.10
DE0-Nano 핀헤더 관련  (0) 2018.01.10
Posted by 구차니
embeded/odroid2018. 1. 16. 08:45

라즈베리 파이를 빼돌려 쓰려다가 아내가 동영상 재생기로 쓰고 있다 보니(잘 안보더니 요즘 다시 보네 -_ㅠ)

집에서 굴러 다니는 먼지 쌓인 U3 2대를 어떻게 써볼까 고민하다 보니..

2.5파이 어댑터가 젤로 귀찮았는데, 개조하는 방법이 기억나서 일단 검색 ㄱㄱ


PCB 2014-11-05 11:29

DC JACK 옆에 2012 크기의 R39을  연결하면,  USB 전원으로 부팅은 가능합니다.  다만  일반적인  USB 2.0  출력가  500mA 기 때문에  1A 이상 되는 출력 할 수 있는 USB 을 사용하면 가능 합니다. 

[링크 : http://com.odroid.com/sigong/nf_board/nboard_view.php?brd_id=odroidu2...bid=5205]


원래는 0옴으로 연결해놨다가 NC 처리 했나 보네

요즘 USB가 500mA가 아니라 거의 1A이상 많이 쓰니까, 변경해서 써도 상관은 없을 듯

(머 전원 부족하면 뒤지면 되지 머 ㅋㅋㅋ)


[링크 : http://www.hardkernel.com/main/products/prdt_info.php?g_code=g138745696275&tab_idx=2]



+

업체 문의해보니, 단종 제품은 주기적으로 폐기해버려서 없다고.. OTL

혹시나 해서 검색해보니 3D 도면은 있으니까..

3D 프린트를 할 수 있으면 해보는 것도 방법일려나?

도면이 두개 올라오는데 dwg로는 쓸모없고

아래쪽에 case.zip에 step 파일 있으니 이걸로 어떻게 해보면 되려나?

(근데 난 step 열 방법이 없네 ㅠㅠ)


case.zip

[링크 : https://forum.odroid.com/viewtopic.php?f=83&t=3164#p25966]

[링크 : http://www.hardkernel.com/main/products/prdt_info.php?g_code=G138750628451]


+

집에와서 보니 방열판을 떼어야 작업이 가능하다.

근데 겁나 잘 붙어 있어서 한참을 낑낑대서 겨우 뗐는데

고작(?) CPU 하나만 붙어 있다는 사실에 어이상실..

다른 칩들은 높이 문제로(?) 방열판과 접촉이 되어 있지 않네


아무튼, 2.5파이 HOT 이랑 R39 잭쪽이랑 저항 찍어보니 0옴 나오는거 봐서는 그걸 잘 연결해주면 될 듯..

회사에 2012 0옴이 없다는데 흐음... 어떻게 해야하나.. 점퍼 날려야 하나..?


5C DCJACK 이라고 쓰여진 5 위에

R39가 있는데 핀셋으로 쇼트시켜주니 켜지긴 한데

근데 그 와중에.. 한번 와이어로 연결해보겠다고 쑈하다가

내 실력 부족으로 R8을 떼먹어 버리고 어디로 갔는지 분실.. OTL 망했어 ㅠㅠ

데이터 시트 상으로는 820K 짜리인데 회사에 그렇게 높은게 있으려나...

1M 라도 달아야 하려나? ㅠㅠ


+

2018.01.17

2012를 0805 이라고 부르기도 하나보네?

[링크 : http://item.gmarket.co.kr/Item?goodsCode=659213121]

타입(인치) 라고 된거 봐서는 2mm 니까 

1inch = 25.4mm

25.4 * 0.08 = 2.032mm

그런거였나?(깨달음)


[링크 : https://www.modelithics.com/models/Vendor/Panasonic/ERJ2GE0R00X.pdf]


걍 FreeCAD 깔고 보기만 함


+

2018.05.08

싱기버스에 다른 사람이 공유해놓은거 발견!

[링크 : https://www.thingiverse.com/thing:335537]

'embeded > odroid' 카테고리의 다른 글

odroid 해상도 설정  (0) 2018.02.21
odroid u3 mame psp  (0) 2018.02.20
odroid u3 웹캠 두 채널  (0) 2016.12.25
odroid u3 전원소비 (경우별)  (0) 2016.12.15
odroid vlc 웹캠 cpu 점유율  (0) 2016.11.26
Posted by 구차니
embeded2018. 1. 15. 15:09

오래된 기억에 한번 정리.. ㅠㅠ


AVR GCC (PROGMEM)

AVR IAR (__flash)

KEIL C51 (code)



AVR GCC (PROGMEM)

[링크 : http://www.nongnu.org/avr-libc/user-manual/pgmspace.html]


AVR IAR (__flash)

[링크 : http://www.nongnu.org/avr-libc/user-manual/porting.html]

[링크 : https://www.iar.com/support/tech-notes/compiler/strings-with-iccavr-2.x/]


KEIL C51 (code)

[링크 : http://www.keil.com/support/docs/301.htm]


cypress cortex-M3 (const)

[링크 : http://www.cypress.com/file/46521/download]



+

KEIL C51 (_at_) 저장할 메모리 주소 지정

[링크 : http://www.keil.com/support/man/docs/c51/c51_ap_at.htm]



'embeded' 카테고리의 다른 글

아싸~ 득템  (7) 2018.07.16
avr과 8051 DMIPS?  (0) 2018.01.26
USB UART - CH340  (2) 2017.10.30
nuvoton cortex-m0 ISD9160 LDROM  (0) 2017.10.17
DSO Nano 리뷰 찾아보니..  (0) 2017.10.16
Posted by 구차니