embeded/FPGA - ALTERA2017. 12. 6. 15:33

아키텍쳐가 다른 것에 대한 비교는 무의미 하지만

그래도 굳이 하자면..

xilinx는 6 input 이고 altera는 4 input 이라

1.3배 정도 쳐주면 된다 라는 결론?


adaptive logic module (ALM)

logic elements (LEs)

[링크 : https://www.altera.com/en_US/pdfs/literature/wp/wp-01003.pdf]

LUT, Logic Cell and Logic Element are all the same to me: the most basic FPGA general logic primitive. Xilinx use LUT, Altera LE, microsemi/lattice possibly something else.

The problem is, they are not the same. In their most recent architecture, Xilinx use 6-input LUT and altera 4-input LUT. They are aggregated in logic blocks which has other features like fast-carry chain, registers and distributed memory.

Converting to system gates is useful, but don't forget it's also a marketing war. A Xilinx FPGA should fit 1.5 times the logic of an Altera FPGA, since it's LUT have 6 instead of 4, right? Well, it largely depends on the design, if the design can't use 6-inputs much, the unused ones are wasted. Same with fast-carry logic, I don't know if they count that in equivalent gate number, but be advised that number is inflated.

System gates is a common measure of ASIC design complexity. The same design on two different foundries should have similar system gates number, as waste is not really an issue for ASIC.

If you're looking for an FPGA. I suggest you choose your vendor, port enough of your design to get an idea of how big a FPGA you need and choose a FPGA with an upgrade path (if you want to market). If it's for a single prototype, just use the biggest FPGA you can afford. 

[링크 : https://stackoverflow.com/.../relation-between-luts-logic-cell-logic-elements-system-gates]


[링크 : http://ee.sharif.edu/~asic/Docs/fpga-logic-cells_V4_V5.pdf]



Cyclone IV 에는 LEs(Logic Elements)가

Logic array blocks (LABs) contain groups of LEs. 

Each LAB consists of the following features: 

■ 16 LEs

[링크 : https://www.altera.com/en_US/pdfs/literature/hb/cyclone-iv/cyiv-51002.pdf]


Cyclone V 에는 ALM이 언급되는데 LEs는 PCIe 관련으로 몇개의 LE가 사용된다 정도의 언급만 있다.

High-performance FPGA fabric Enhanced 8-input ALM with four registers 


The PCIe endpoint support includes multifunction support for up to eight functions, as shown in the following figure. The integrated multifunction support reduces the FPGA logic requirements by up to 20,000 LEs for PCIe designs that require multiple peripherals. 

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/cyclone-v/cv_51001.pdf]


근데.. LEs와 ALM을 동시에 표기한게 보이네.. 도대체 ALM과 LE의 연관이 어떻게 되는거야..

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/cyclone-v/cv_51001.pdf]


위에꺼랑 연관지어서 보면.. 25000 LEs = 9434 ALM 이고

평균적으로(?) 2.6LEs = 1 ALM 이 되는 건가?


음.. 아무튼 ALE와 LEs의 연관은 모르겠으나.. 

결론은 성능과 비용 사이에서 적절한 LUT4를 택했다 인가?

Designing the ALM The ALM is radically different from any other FPGA logic block, offering a number of major innovations. Getting from a classic 4-LUT with a single register block (with associated carry logic) to the ALM required a detailed understanding of customer requirements and a large investment in researching the tradeoffs of various architectures. Our pursuit for a larger LUT was inspired by research results indicating that a basic 6-LUT could yield a 14% performance improvement by reducing the number of levels of logic elements on the critical paths of circuits. Unfortunately, this performance increase also had a large area penalty, a 17% area increase resulting from a larger LUT-mask and more inputs for the LUT. Figure 4 illustrates the tradeoff between cost and delay for different sizes of LUTs. The basic approach in designing the ALM was to investigate building a larger LUT to reduce levels of logic and increase performance, but to also avoid the area increase by efficiently dividing the larger LUT into smaller LUTs when appropriate, as illustrated by the dashed line. The ability to divide a LUT is what makes it “adaptive.”  

[링크 : https://www.altera.com/en_US/pdfs/literature/wp/wp-01003.pdf]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

fpga bitstream format?  (0) 2017.12.08
FPGA 개론?  (0) 2017.12.07
altera fpga 라인업  (0) 2017.12.06
de0-nano / nios2  (0) 2017.12.06
microblaze (xilinx) Nios II (altera)  (0) 2017.12.05
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 6. 13:17

엌.. cyclone이 그래도 메인급인줄 알았는데 아니었네..

cyclone 쏘리~ zynq 같은 거랑 비교했다니 억울했겠다.. ㅋㅋ


[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/sg/product-catalog.pdf]


아무튼 Stratix는 LEs 갯수가 어마어마 하다

모델명 숫자가 k 단위니.. 큰건 5M LEs를 포함한다.(드럽게 비쌀 듯..)

[링크 : https://www.altera.com/products/fpga/stratix-series/stratix-10/overview.html]


'embeded > FPGA - ALTERA' 카테고리의 다른 글

FPGA 개론?  (0) 2017.12.07
제조사별 FPGA 로직 게이트 갯수 차이  (0) 2017.12.06
de0-nano / nios2  (0) 2017.12.06
microblaze (xilinx) Nios II (altera)  (0) 2017.12.05
Cyclone IV,V (terasic de0-nano)  (0) 2017.12.05
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 6. 11:56

언제 살진 모르겠지만.. 웬지 살거 같으니 조사? ㅋㅋ


일단.. nios2 돌릴려면 외부 SDRAM을 달아줘야 하는데..

(DE0-Nano 뒷편에 32MB SDRAM이 FPGA용이 아니라 nios 2를 위한 SDRAM 이었나?)

도대체... nios2에서 돌릴 OS/프로그램은 어디에 구워지는거지?

[링크 : https://sikpigs.wordpress.com/2013/09/28/nios-ii-on-de0-nano/]

[링크 : https://sikpigs.wordpress.com/2013/09/30/adding-sdram-for-nios-ii-on-de0-nano/]

[링크 : https://www.altera.com/.../tt_nios2_hardware_tutorial.pdf]

[링크 : https://www.youtube.com/watch?v=oQWr-T6MX10]

[링크 : http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=49&No=656]

[링크 : http://www.emb4fun.de/fpga/nutos1/]


+

2017.12.07

EPCS64를 탭재하는데 계산해보니. 64Mbit = 8MB 플래시가 EPCS로 쓰고 있고

FPGA 설정에 얼마의 용량을 쓰는지 모르겠지만

EPCS를 Nios 2의 용량으로 일부 사용하는 듯.

FPGA 내부적으로는 플래시 컨트롤러를 추가해서 어떻게 쓰는것 같은데

플래시 컨트롤러에서 EPCS의 일정 어드레스를 겹치지 않도록 해주려나?


Now the system contains a CPU, the Sys ID and an external SDRAM. The next step will be to add the EPCS Controller. Therefore select "Library > Memories and Memory Controllers > External Memory Interfaces > Flash Interfaces > EPCS Serial Flash Controller" and click the "Add..." button. We will use the default values of the wizard, press "Finish". Important, rename "epcs_flash_controller_0" to "epcs_flash_controller".

[링크 : http://www.emb4fun.de/fpga/nutos1/]


+

2017.12.10

5.1 Downloading the JIC file into the DE0-Nano Board

[링크 : ftp://ftp.altera.com/up/pub/Altera_Material/13.1/Tutorials/DE0-Nano/Using_DE0-Nano_Flash.pdf]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

제조사별 FPGA 로직 게이트 갯수 차이  (0) 2017.12.06
altera fpga 라인업  (0) 2017.12.06
microblaze (xilinx) Nios II (altera)  (0) 2017.12.05
Cyclone IV,V (terasic de0-nano)  (0) 2017.12.05
xilinx USB cable 드럽게 비싸네  (0) 2017.12.05
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 5. 16:05

xilinx에는 microblaze 라는 software CORE가 존재하고

altera에는 nios 2가 존재한다.


아키텍쳐 등은 비슷하나 altera가 더 커스터마이징이 용이하다고..

[링크 : https://www.embeddedrelated.com/showthread/fpga-cpu/2182-1.php]


성능만으로 봐서는.. 전체적으로 Xilinx의 microblaze가 Altera의 Nios 2보다 높게 나온다.

economy는.. 너무 심하게 성능이 떨어지는데 소비전력 면에서 차이가 있어야 할거 같고

절대성능도 그렇고 MHz당 DMIPS 로 봐도 시리즈가 올라간다고 해서 상대적으로 빨라지는게 없어 보이는 편

이래서.. altera 대신 xilinx를 많이 쓰는건가..


[링크 : https://www.altera.com/products/processors/overview.html]


[링크 : https://www.xilinx.com/products/design-tools/microblaze.html]

+

2017.12.07

Device Family Support:

[링크 : https://www.xilinx.com/products/intellectual-property/microblazecore.html]


+

2017.12.06

성능 저하는 심하지만.. LE를 적게 먹어서 용량 적은 FPGA에서도 돌릴수 있는게 장점 일려나?

Nios II/e[edit]

The Nios II/e core is designed for smallest possible logic utilization of FPGAs. This is especially efficient for low-cost Cyclone II FPGA applications. Features of Nios II/e include:

  • Up to 2 GB of external address space
  • JTAG debug module
  • Complete systems in fewer than 700 LEs
  • Optional debug enhancements
  • Up to 256 custom instructions
  • Free, no license required 

[링크 : https://en.wikipedia.org/wiki/Nios_II]


일단.. cyclone 4 에는 115K~150K의 로직이 있는데 그중에 1K 정도야 머..

  • The Cyclone IV GX FPGA architecture consists of up to 150K vertically arranged logic elements (LEs), 6.5 Mbits of embedded memory arranged as 9-Kbit (M9K) blocks, and 360 18 x 18 embedded multipliers. New to the Cyclone series, Cyclone IV GX FPGAs feature integrated transceivers at up to 3.125 Gbps.
  • The Cyclone IV E FPGA architecture consists of up to 115K vertically arranged LEs, 4 Mbits of embedded memory arranged as 9-Kbit (M9K) blocks, and 266 18 x 18 embedded multipliers. 

[링크 : https://www.altera.com/products/fpga/cyclone-series/cyclone-iv/features.html]


Nios 2/e야 700 이하이긴 한데, 가장 성능좋은 Nios 2/f 도 풀 옵션(?)으로 3K 정도

(115k에서 3k 정도 되어봤자 부담이 크진 않은 듯? 2.6%?)

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/nios2/n2cpu_nii51015.pdf]


cyclone V E는 25~300 정도

cyclone V GX는 35~300 정도

cyclone V GT는 77~300 정도

cyclone V SE는 25~110 정도

cyclone V SX는 25~110 정도

cyclone V ST는 85~110 정도의 LEs를 포함한다. 근데 머.. 얘는 HPS 존재하니까 굳이 할 필요가 있나?

이론상으로는.. 3k 니까 8 코어~100 코어 시스템은 만들수 있겠네?

(램 분배라던가 결선으로 인해서 사용될 로직이라던가 이런걸 고려하면 절대적으로 무리겠지만)

[링크 : https://www.altera.com/products/fpga/cyclone-series/cyclone-v/features.html]


MMU가 MPU 보다 복잡한 기능 그러니.. MMU가 들어가면 MPU는 필요없다.

[링크 : http://blog.materer.co.kr/15]



+

microblaze의 로직셀 사용량. 

성능 차이가 심하고 아키텍쳐 차이때문에 nios2랑 직접적으로 비교하기는 힘드니.. 그냥 참고용


[링크 : https://www.xilinx.com/support/documentation/quick_start/microblaze-quick-start-guide.pdf]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

altera fpga 라인업  (0) 2017.12.06
de0-nano / nios2  (0) 2017.12.06
Cyclone IV,V (terasic de0-nano)  (0) 2017.12.05
xilinx USB cable 드럽게 비싸네  (0) 2017.12.05
EPCS, EPCQ  (0) 2017.12.03
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 5. 14:50

요즘 막 지름신이 와서

구경하다 중고로운 평화나라에서 DE0-Nano가 있어서 보는데

막 검색을 해보니 흐음... 내가 원하는건 DE0-Nano-SoC 인 듯..

순수 FPGA 공부도 좋긴한데 고민이 되네..

(그래도 조금은 지름신님 물리쳐진듯? ㅋㅋ)


DE0-Nano-SoC Kit/Atlas-SoC Kit 99$/90$

FPGA Device

Altera Cyclone® V SE 5CSEMA4U23C6N device

HPS (Hard Processor System)

925MHz Dual-core ARM Cortex-A9 processor

1GB DDR3 SDRAM (32-bit data bus)

[링크 : https://www.terasic.com.tw/...&CategoryNo=167&No=941&PartNo=2]


DE0-Nano Development and Education Board 79$/71$

Cyclone® IV EP4CE22F17C6N FPGA

22,320 Logic elements (LEs)

32MB SDRAM

2Kb I2C EEPROM 

G-Sensor ADI ADXL345, 3-axis accelerometer with high resolution (13-bit) 

A/D Converter NS ADC128S022, 8-Channel, 12-bit A/D Converter 50 ksps to 200 ksps 

[링크 : http://www.terasic.com.tw/...&CategoryNo=165&No=593&PartNo=2]


[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/cyclone-v/cv_51001.pdf]

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/cyclone-v/cv_51002.pdf]


HPS 라고 해서.. ARM 코어 탑재.

이녀석이 Zynq 대응 버전이라고 보면 될려나?


[링크 : https://www.altera.com/products/fpga/features/cyv-soc-hps.html]


Cyclone 4는 ARM 코어 없다.

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/cyclone-iv/cyiv-51001.pdf]



+

2017.12.10

음 Cyclone 4에 온칩 메모리?

[링크 : ftp://ftp.altera.com/up/pub/Altera_Material/13.1/Tutorials/DE0-Nano/Using_DE0-Nano_Flash.pdf]


최대 6.4Mb =약 800KB 인데 GX 모델로 가야지 그렇고

Cyclone IV Device Family 

Features The Cyclone IV device family offers the following features: 

■ Low-cost, low-power FPGA fabric: 

■ 6K to 150K logic elements 

■ Up to 6.3 Mb of embedded memory 

■ Up to 360 18 × 18 multipliers for DSP processing intensive applications 

■ Protocol bridging applications for under 1.5 W total power 


모델에 따라서 낮은건 270Kbit 이니까 34KB 부터 시작한다.

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/cyclone-iv/cyiv-51001.pdf]


SRAM 이지 Flash는 아니었네..

Memory Modes Cyclone IV devices M9K memory blocks allow you to implement fully-synchronous SRAM memory in multiple modes of operation. Cyclone IV devices M9K memory blocks do not support asynchronous (unregistered) memory inputs.  

[링크 : https://www.altera.com/.../cyclone-iv/cyclone4-handbook.pdf]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

de0-nano / nios2  (0) 2017.12.06
microblaze (xilinx) Nios II (altera)  (0) 2017.12.05
xilinx USB cable 드럽게 비싸네  (0) 2017.12.05
EPCS, EPCQ  (0) 2017.12.03
RTL - Register-transfer level  (0) 2017.11.09
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 5. 14:28

하나 사서 가지고 놀아볼까 하는데 가격이 미친듯..

[링크 : https://www.eleparts.co.kr/EPXG4TT7] USB Cable 2 40만... 딴데는 한 20하는거 같던데..

[링크 : https://www.xilinx.com/products/boards-and-kits/hw-usb-ii-g.html] 공식 225$

[링크 : https://www.eleparts.co.kr/EPX349J6] 패러럴 2.3만..


그래서 싼게 보이길래 찾아봤는데.. 아놔...

The Parallel Cable IV will not be supported in the Vivado tools. However, ChipScope analyzer supports this cable.

[링크 : https://www.xilinx.com/support/answers/54136.html]


아무튼. ISE까지는 어떻게 Parallel cable 4라고 해서 지원을 했는데

vivado 부터는 아예 legacy 장비라서 없애 버린듯..


product obsolete의 압박... -_-

Xilinx Parallel Cable IV

DS097 (v3.0) January 21, 2016

[링크 : https://www.xilinx.com/support/documentation/data_sheets/ds097.pdf



ChipScope analyzer는 장치가 아니라 툴이네..

근데 얘도.. ISE 포함된 애인가?

[링크 : https://www.xilinx.com/itp/xilinx10/isehelp/ise_c_process_analyze_design_using_chipscope.htm]


'embeded > FPGA - ALTERA' 카테고리의 다른 글

de0-nano / nios2  (0) 2017.12.06
microblaze (xilinx) Nios II (altera)  (0) 2017.12.05
Cyclone IV,V (terasic de0-nano)  (0) 2017.12.05
EPCS, EPCQ  (0) 2017.12.03
RTL - Register-transfer level  (0) 2017.11.09
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 3. 23:49

altera 라고 무조건 CPLD에 직접 퓨징하는게 아니라..

SRAM을 지원하는 제품이 altera에도 있다라고 알고 있던 지식을 정정해야 할 듯..

예전에 한백전자 셋트에서 cyclone 4 였던거 같은데

얘도 SRAM 기반으로 자일링스 처럼 SPI 플래시가 달린 녀석인가 보네..


[링크 : https://cms3.koreatech.ac.kr/sites/yjjang/down/dsys08/M04_fpga.pdf]

[링크 : http://blog.daum.net/trts1004/12109265]

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/cfg/cyc_c51014.pdf]


EPCS - EPC Single

EPCQ - EPC Quad

EPC - Enhanced Programmable Configuration


EPCS - Erasable Programmable Configurable Serial

Source: page1-1 of Nios II Flash Programmer User Guide.

[링크 : https://www.alteraforum.com/forum/showthread.php?t=38512]



+

DE0-Nano를 살까 고심중


+

학교 실습에서 쓰던건 다른 녀석인데(HBE-EMPOS 2 였던걸로.. PXA255)

아무튼 CIS 카메라 쓴다고 FPGA에서 cyclone2에 quartous 였나? 이걸로 구웠는데..

비슷한 구조인가 모르겠다..

[링크 : http://www.hanback.co.kr/board/download_file.php?ASN=26_1447944412&BDN=BD1142&IDX=6]


예전 자료 뒤져서 찾아보니.. Configuration Device라고 있는걸 봐서는..

Altera 이녀석도 xiliinx 처럼 외부 SPI EEPROM을 달고 거기다가 프로그램 써서 작동 시키는 듯?


'embeded > FPGA - ALTERA' 카테고리의 다른 글

de0-nano / nios2  (0) 2017.12.06
microblaze (xilinx) Nios II (altera)  (0) 2017.12.05
Cyclone IV,V (terasic de0-nano)  (0) 2017.12.05
xilinx USB cable 드럽게 비싸네  (0) 2017.12.05
RTL - Register-transfer level  (0) 2017.11.09
Posted by 구차니
embeded/Cortex-M3 Ti2017. 11. 21. 17:46

아.. 부트 시리얼이라고 따로 있었구나..

이녀석 프로젝트 분석해서 봐야 할 듯..


C:\StellarisWare\boards\ek-lm3s1968\boot_serial

C:\StellarisWare\tools\sflash



[링크 : https://e2e.ti.com/support/microcontrollers/stellaris_arm/f/471/t/237494]

'embeded > Cortex-M3 Ti' 카테고리의 다른 글

cortex-m3 keil 부트로더  (0) 2017.12.21
keil sct - 링커 스크립트  (0) 2017.12.11
JTAG / SWD 핀 연결방법 조사..  (0) 2017.04.04
어? 의외로 RX busy는 없네?  (0) 2017.03.27
lm3s1607 uart pull up 문제  (0) 2017.03.24
Posted by 구차니
embeded/AVR (ATmega,ATtiny)2017. 11. 21. 16:36

AVRISP mk2 atmel 정품

AVRISP mk2 clone(stm32 사용) 두개 꽂으니 처음보는 창이 뜨는데

어느게 atmel 정품인지 구분할 방법이 없네?


STK500은 1.845MHz가 한계...

(us-technology.co.kr 에서 구매한건데 사이트 사라짐 ㅠㅠ)


AVRISP mk2 ATMEL 정품. 8.0MHz 까지 지원한다.

(근데 16MHz 만 달아서 오버시키는 셈인데 8.0MHz를 어떻게 쓰지 ?)


'embeded > AVR (ATmega,ATtiny)' 카테고리의 다른 글

AVR FFB(force feedback)  (0) 2021.06.29
AVR V-USB (USB HID)  (0) 2021.06.28
avr unlock 관련  (0) 2017.11.21
avrdude + usbasp 테스트  (0) 2017.11.21
avrdude 실행 안됨  (0) 2017.11.18
Posted by 구차니
embeded/AVR (ATmega,ATtiny)2017. 11. 21. 14:58

secureAVR 이라는 걸 찾아보라는데 링크가 깨졌고

비슷한 걸로 이런건 보인다.

근데.. CryptoAuthentication은 AES 보안된 EEPROM 일뿐이네..

[링크 : http://www.atmel.com/products/security-ics/default.aspx]

    [링크 : http://www.atmel.com/Images/Atmel-8914-CryptoAuth-ATAES132A-Datasheet.pdf]

    [링크 : http://www.atmel.com/Images/Atmel-8895S-CryptoAuth-ATECC108A-Datasheet-Summary.pdf]

    [링크 : http://www.atmel.com/images/Atmel-8885-CryptoAuth-ATSHA204A-Datasheet.pdf]


TPM이라고 Trusted Platform Module이 AVR RISC 를 채택한 프로세서네..

알고리즘 타입에.. RSA / SHA-1 / SHA-2

[링크 : http://www.atmel.com/Images/Atmel-5295S-TPM-AT97SC3204-LPC-Interface-Datasheet-Summary.pdf]


아무튼.. 아래는 2000년 연구 자료고

2010년 즈음에 조사해도 여전히 뚫린다.. 라는 결론?

[링크 : http://www.cl.cam.ac.uk/~sps32/mcu_lock.html]

    [링크 : http://www.avrfreaks.net/forum/atmega-can-be-unlocked?page=all]


unlock하는 소스와 제품.. ㄷㄷㄷ

[링크 : http://martin-stej.wz.cz/index.php?page_id=avr_unlocker&lang=en]



+

다르게 보면.. 보안칩을 별도로 쓰거나

프로그램 자체를 암호화 하고 그걸 칩에서 복호화 해서 쓰는 걸로

lock bit 등의 효용 자체가 없음이 증명(?)된 걸려나?

'embeded > AVR (ATmega,ATtiny)' 카테고리의 다른 글

AVR V-USB (USB HID)  (0) 2021.06.28
avrisp mk2 / avrisp mk2 clone / stk500 clone  (0) 2017.11.21
avrdude + usbasp 테스트  (0) 2017.11.21
avrdude 실행 안됨  (0) 2017.11.18
keil bootloader example - avr/atmel  (0) 2017.11.17
Posted by 구차니